Find Jobs
Hire Freelancers

I need your help in writing c code for a circuit

$10-11 USD

Ditutup
Dibuat lebih dari 8 tahun yang lalu

$10-11 USD

Dibayar ketika dikirim
I need your help in writing c code for chasing led circuit (VHDL) please check attached file for details can pay 10 $ and best review and need in an hour or 2
ID Proyek: 8440761

Tentang proyek

19 proposal
Proyek remot
Aktif 9 tahun yang lalu

Ingin menghasilkan uang?

Keuntungan menawar di Freelancer

Tentukan anggaran dan garis waktu Anda
Dapatkan bayaran atas pekerjaan Anda
Uraikan proposal Anda
Gratis mendaftar dan menawar pekerjaan
19 freelancer menawar dengan rata-rata $20 USD untuk pekerjaan ini
Avatar Pengguna
Dear sir I have more than 8 years experience in digital design using vhdl please check my profile also please message me so that we can discuss
$15 USD dalam 0 hari
5,0 (184 ulasan)
7,2
7,2
Avatar Pengguna
A proposal has not yet been provided
$15 USD dalam 1 hari
4,8 (70 ulasan)
5,9
5,9
Avatar Pengguna
Hello! You know me I can help you in no time! Send me a message! Have a nice day! .
$10 USD dalam 0 hari
4,9 (37 ulasan)
5,1
5,1
Avatar Pengguna
A proposal has not yet been provided
$15 USD dalam 1 hari
4,7 (14 ulasan)
4,8
4,8
Avatar Pengguna
I had done MS in Engineering. Also Had 8+ years of experience in the field of programming using Verilog HDL and VHDL. I can do this task for you
$111 USD dalam 1 hari
5,0 (13 ulasan)
4,7
4,7
Avatar Pengguna
I can acomplish this work in few hours. I have 10+ years of experience in the same area. please let me know how to take this further ?
$15 USD dalam 1 hari
4,7 (11 ulasan)
4,2
4,2
Avatar Pengguna
Hello Sir, I will do it for you sir. I am new here and looking to start my career here. please give me a chance. Thank you
$29 USD dalam 1 hari
5,0 (1 ulasan)
1,6
1,6
Avatar Pengguna
Please I need clarification on this project. .
$10 USD dalam 1 hari
0,0 (0 ulasan)
0,0
0,0
Avatar Pengguna
I am an electrical engineer specialized in electronics and communication and also working as instructor in University.I have very good expertise in circuit designing , digital design, communication system etc. I am also Lab instructor in University and have conducted Labs of Circuit design, Digital design. I have also supervised many projects related to Electric and Electronics circuits, Digital design and microcontroller Based Circuits.
$25 USD dalam 1 hari
0,0 (0 ulasan)
0,0
0,0
Avatar Pengguna
A proposal has not yet been provided
$10 USD dalam 1 hari
0,0 (0 ulasan)
0,0
0,0
Avatar Pengguna
A proposal has not yet been provided
$15 USD dalam 1 hari
0,0 (0 ulasan)
0,0
0,0
Avatar Pengguna
If you pay me i will complete it.:) code for chasing LED board with 18 LED’s on board enity chase_circuit is port ( i_clk : std_logic, i_reset : std_logic, o_LED_ctl : std_logic_vector(17 downto 0) ); end entity; architecture of chase_circuit is signal led_ctl:std_logic_vector(17 downto 0); signal counter:std_logic_vector(15 downto 0); begin process(i_clk,i_reset) begin if(NOT(reset) == ‘1’) then counter <= x”FFFF”; --change this value to decide speed if you increase the value the L else if(i_clk’event and i_clk=’1’)then if(counter = 0)then counter <= x”FFFF”; --LEDs will move at a slow pace elsif(counter /= x”0000”) counter <= counter - ‘1’; end if; end if; end if; end process; process(i_clk,i_reset) begin if(NOT(reset) == ‘1’) then LED(17 downto 0) <= “100000000000000000” else if((counter = 0) && (direction == ‘0’))then LED(17 downto 0) <= ‘0’ && LED(17 downto 1); elsif((counter = 0) && (direction == ‘1’))then LED(17 downto 0) <= LED(16 downto 0) && ‘0’; else LED(17 downto 0) <= LED(17 downto 0); end if; end if; end process; process(i_clk,i_reset) begin if(NOT(reset)=’1’)then direction <= ‘0’; else if((counter = 0) && (LED(17 downto 0) = “000000000000000001”))then direction <=
$15 USD dalam 1 hari
0,0 (0 ulasan)
0,0
0,0
Avatar Pengguna
i have a good knowledge about microcontroller programming and have done number of projects.i will do your work easily and accurately.i will make a good function where you have to write what you want to send and specify the to whom you send.
$15 USD dalam 1 hari
0,0 (0 ulasan)
0,0
0,0
Avatar Pengguna
I have extensive knowledge on System C, Verilog and VHDL. I can do the job for you in an hour or two. I have worked on these projects before.
$10 USD dalam 1 hari
0,0 (0 ulasan)
0,0
0,0
Avatar Pengguna
I have done it using altera de0 and could do it again with DE1.
$20 USD dalam 1 hari
0,0 (0 ulasan)
0,0
0,0
Avatar Pengguna
Hi.........I m a VLSI trainer in govt of india authorized center. I hope can do this within a less period of time. Hope to get a reply from u as soon as possible. Thank u
$12 USD dalam 1 hari
0,0 (0 ulasan)
1,2
1,2
Avatar Pengguna
A proposal has not yet been provided
$15 USD dalam 0 hari
0,0 (0 ulasan)
0,0
0,0
Avatar Pengguna
A proposal has not yet been provided
$10 USD dalam 1 hari
0,0 (0 ulasan)
0,0
0,0
Avatar Pengguna
Hi I am a electronics student here at IIT, Khargapur. Studying at the best college of electronics In India. Since I am a 4th year student a have recently gone through all the details of the concept which your project might require. And also since now a days I am free so I can work as much as 15-20hours/week. Since I am in college so I also have the knowledge of most the software's which are required for project work and I have unlimited access to these software's. Recently I also developed a product for a MNC using c/c++ and verilog so I have a very good understanding of it. So please let me do your project and I will never let you down.
$10 USD dalam 0 hari
0,0 (0 ulasan)
0,0
0,0

Tentang klien

Bendera OMAN
Mutrah, Oman
4,9
359
Memverifikasi Metode pembayaran
Anggota sejak Nov 17, 2009

Verifikasi Klien

Terima kasih! Kami telah mengirim Anda email untuk mengklaim kredit gratis Anda.
Anda sesuatu yang salah saat mengirimkan Anda email. Silakan coba lagi.
Pengguna Terdaftar Total Pekerjaan Terpasang
Freelancer ® is a registered Trademark of Freelancer Technology Pty Limited (ACN 142 189 759)
Copyright © 2024 Freelancer Technology Pty Limited (ACN 142 189 759)
Memuat pratinjau
Izin diberikan untuk Geolokasi.
Sesi login Anda telah kedaluwarsa dan Anda sudah keluar. Silakan login kembali.