design the VHDL based temperature controller

Dibatalkan Dipasang 7 tahun yang lalu Dibayar saat pengiriman
Dibatalkan Dibayar saat pengiriman

- To design the VHDL based temperature controller that comprises of SPI

controller, Data converter, Memory block and Display drivers.

- To develop the test bench to test the design for both behavioral and timing

model using Modelsim.

- Implement the design in FPGA and accomplish the hardware testing. (optional)

FPGA Verilog / VHDL

ID Proyek: #13097924

Tentang proyek

Proyek online Aktif 7 tahun yang lalu